Is AMAT Losing Market Share to Lam Research and KLA-Tencor?

The emergence of the IoT (Internet of Things), self-driving cars, AR/VR (augmented/virtual reality), and AI (artificial intelligence) is driving demand for logic and memory chips.

Puja Tayal - Author
By

Aug. 15 2017, Updated 10:36 a.m. ET

uploads///A_Semiconductors_AMAT_Q semiconduct system rev by end market

AMAT in the semiconductor market

In the previous part of the series, we saw that Applied Materials (AMAT) is witnessing strong growth in the semiconductor market which is being driven by strength in foundry and memory markets. However, AMAT and Lam Research (LRCX) lost some share to KLA-Tencor in the foundry market. The decline in foundry revenue was more than offset by strong growth in the memory market.

Article continues below advertisement
Article continues below advertisement

Memory

The memory market is witnessing a supply shortage, which has encouraged memory makers such as Micron Technology (MU), Intel (INTC), Samsung (SSNLF), and SK Hynix to boost investment. The memory industry is transitioning to 3D NAND, a material-intensive technology that presents an opportunity that is three times bigger than 2D planar NAND.

AMAT’s memory revenues grew 42% sequentially whereas LRCX’s memory revenues grew 35% sequentially in 1Q17. Many memory makers have started 3D NAND production, which slowed memory chip equipment sales in 2Q17. LRCX’s memory revenues grew only 7% sequentially in 2Q17. AMAT’s memory revenues are expected to increase 10%–12% sequentially during the same quarter.

Logic

In the logic chip market, AMAT lost some market share to KLAC and LRCX. AMAT’s logic revenues fell 13% sequentially whereas KLAC’s and LRCX’s logic revenues rose in triple digits in 1Q17. However, logic revenues slowed in 2Q17, with LRCX reporting 78% sequential growth and KLAC reporting a decline of 66%.

The emergence of the IoT (Internet of Things), self-driving cars, AR/VR (augmented/virtual reality), and AI (artificial intelligence) is driving demand for logic and memory chips. AMAT has revised its guidance for WFE (wafer fabrication equipment) spending in 2017 from $36.8 billion to over $40.0 billion.

Next, we’ll look at AMAT’s Display division.

Advertisement

Latest Lam Research Corp News and Updates

    Opt-out of personalized ads

    © Copyright 2024 Market Realist. Market Realist is a registered trademark. All Rights Reserved. People may receive compensation for some links to products and services on this website. Offers may be subject to change without notice.